>From fd8de779aeefe31b34e1ee0e369286e089b48023 Mon Sep 17 00:00:00 2001 From: Richard Sandiford Date: Sat, 7 Dec 2013 11:57:21 +0000 Subject: [PATCH] 2013-12-07 Richard Sandiford * lib/dg.exp (dg-test): Don't put the expected and actual output of a pattern test in the test name; send it to the log instead. --- ChangeLog | 5 +++++ lib/dg.exp | 5 +++-- 2 files changed, 8 insertions(+), 2 deletions(-) diff --git a/ChangeLog b/ChangeLog index 36d02b7..59a2e76 100644 --- a/ChangeLog +++ b/ChangeLog @@ -1,3 +1,8 @@ +2013-12-07 Richard Sandiford + + * lib/dg.exp (dg-test): Don't put the expected and actual output + of a pattern test in the test name; send it to the log instead. + 2013-11-01 Steve Ellcey * Makefile.am (baseboard_SCRIPTS): Add multi-sim.exp baseboard. diff --git a/lib/dg.exp b/lib/dg.exp index 889585f..3eaccf1 100644 --- a/lib/dg.exp +++ b/lib/dg.exp @@ -851,10 +851,11 @@ proc dg-test { args } { } set texttmp [lindex ${dg-output-text} 1] if { ![regexp $texttmp ${output}] } { - fail "$name output pattern test, is ${output}, should match $texttmp" + fail "$name output pattern test" + send_log "Output was:\n${output}\nShould match:\n$texttmp\n" verbose "Failed test for output pattern $texttmp" 3 } else { - pass "$name output pattern test, $texttmp" + pass "$name output pattern test" verbose "Passed test for output pattern $texttmp" 3 } unset texttmp -- 1.8.3.1