guile-devel
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [PATCH] md5: fix errors when input size modulo 64 is > 55 bytes


From: Daniel Hartwig
Subject: Re: [PATCH] md5: fix errors when input size modulo 64 is > 55 bytes
Date: Thu, 31 Jan 2013 13:31:59 +0800

On 31 January 2013 13:31, Daniel Hartwig <address@hidden> wrote:
> On 22 January 2013 05:16, Andy Wingo <address@hidden> wrote:
>> Any chance on getting a test case as well? :-)
>> --
>> http://wingolog.org/
>
> Updated with tests from RFC 1321.  Confirmed that the new test fails 
> pre-patch.

Please excuse the very long lines of test data.  Couldn't figure out
how to make that any nicer :-)



reply via email to

[Prev in Thread] Current Thread [Next in Thread]